13 Facts About Self-aligned gate

1.

In semiconductor electronics fabrication technology, a self-aligned gate is a transistor manufacturing feature whereby the gate electrode of a MOSFET is used as a mask for the doping of the source and drain regions.

FactSnippet No. 1,552,960
2.

Finally, the Self-aligned gate is patterned on top of the insulating layer in a new photo-lithographic operation.

FactSnippet No. 1,552,961
3.

The overlap capacitance with the most adverse consequences on performance was the Self-aligned gate-to-drain parasitic capacitance, Cgd, which, by the well-known Miller effect, augmented the Self-aligned gate-to-source capacitance of the transistor by Cgd multiplied by the gain of the circuit to which that transistor was a part.

FactSnippet No. 1,552,962
4.

In 1966, Robert W Bower realized that if the gate electrode was defined first, it would be possible not only to minimize the parasitic capacitances between gate and source and drain, but it would make them insensitive to misalignment.

FactSnippet No. 1,552,963
5.

Self-aligned gate proposed a method in which the aluminum gate electrode itself was used as a mask to define the source and drain regions of the transistor.

FactSnippet No. 1,552,964

Related searches

Intel
6.

Low threshold voltage transistors with aluminum Self-aligned gate demanded the use of [100] silicon orientation, which however produced too low a threshold voltage for the parasitic MOS transistors.

FactSnippet No. 1,552,965
7.

Self-aligned gate invented the 'buried contacts, ' a method to make direct contact between amorphous silicon and silicon junctions, without the use of metal, a technique that allowed a much higher circuit density, particularly for random logic circuits.

FactSnippet No. 1,552,966
8.

Self-aligned gate then designed the first integrated circuit using silicon gate, the Fairchild 3708, an 8-bit analog multiplexer with decoding logic, that had the same functionality of the Fairchild 3705, a metal-gate production IC that Fairchild Semiconductor had difficulty making on account of its rather stringent specifications.

FactSnippet No. 1,552,967
9.

Silicon-Self-aligned gate technology was adopted by Intel upon its founding, and within a few years became the core technology for the fabrication of MOS integrated circuits worldwide, lasting to this day.

FactSnippet No. 1,552,968
10.

Self-aligned gate design was patented in 1969 by the team of Kerwin, Klein, and Sarace.

FactSnippet No. 1,552,969
11.

Actually the self-aligned gate MOSFET was invented by Robert W Bower US 3,472,712, issued October 14,1969, Filed October 27,1966.

FactSnippet No. 1,552,970
12.

Bower had first made the self-aligned gate using aluminum as the gate and, before presentation in 1966, made the device using polysilicon as the gate.

FactSnippet No. 1,552,971
13.

Self-aligned gate typically involves ion implantation, another semiconductor process innovation of the 1960s.

FactSnippet No. 1,552,972